Advantages and challenges of Plasma Immersion Ion Implantation for Power devices manufacturing on Si, SiC and GaN using PULSION ® tool - LAAS - Laboratoire d'Analyse et d'Architecture des Systèmes Accéder directement au contenu
Communication Dans Un Congrès Année : 2018

Advantages and challenges of Plasma Immersion Ion Implantation for Power devices manufacturing on Si, SiC and GaN using PULSION ® tool

Guillaume Sempere
  • Fonction : Auteur
  • PersonId : 1040556
Werner Schustereder
  • Fonction : Auteur
  • PersonId : 1040557
Yohann Spiegel
  • Fonction : Auteur
Gael Borvon
  • Fonction : Auteur
  • PersonId : 1040558
Moriz Jelinek
  • Fonction : Auteur
  • PersonId : 1040559
Marcin Zielinski
  • Fonction : Auteur
  • PersonId : 860779
Laurent Roux
  • Fonction : Auteur
Thomas Wuebben
  • Fonction : Auteur
  • PersonId : 1040560

Résumé

Thanks to its high throughput and low cost of ownership Plasma Immersion Ion Implantation (or Plasma Doping) has been widely used for Memory device fabrication. Its ability to implant very high doses in shallow layers, makes it a perfect tool for new material modification applications needed for advanced logic devices. Nevertheless, few works are reported for its uses in power device fabrication. The aim of this paper is to present several application cases where we studied the use of our PULSION® PIII tool for implantation in Silicon, Silicon Carbide and Gallium Nitride power devices. Benefits of PIII and challenges to overcome will be discussed for the following applications in silicon devices: High dose phosphorus and boron implantation for polysilicon gate doping and low dose doping of deep trench superjunction applications, contact plug doping for Silicon SFET devices and wall doping of deep shallow trenches for IGBTs. In addition possible applications for wide band gap materials will be discussed with an example of doping application for GaN HEMT, where PIII allowed to make the first demonstration of a normally-off device. Finally some doping and material modification applications on SiC are presented.

Domaines

Electronique
Fichier principal
Vignette du fichier
PULSION for Power electronics V100918.pdf (462.8 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-01955688 , version 1 (14-12-2018)

Identifiants

Citer

Frank Torregrosa, Guillaume Sempere, Werner Schustereder, Philippe Godignon, Yohann Spiegel, et al.. Advantages and challenges of Plasma Immersion Ion Implantation for Power devices manufacturing on Si, SiC and GaN using PULSION ® tool. 22nd International Conference on Ion Implantation Technology (IIT), Sep 2018, Würzburg, Germany. 5p., ⟨10.1109/IIT.2018.8807978⟩. ⟨hal-01955688⟩
46 Consultations
88 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More